@article{CTT100871993, author = {Satoru Jimbo and Daiki Okonogi and Kota Ando and Thiem Van Chu and Jaehoon Yu and Masato Motomura and Kazushi Kawamura}, title = {A Hybrid Integer Encoding Method for Obtaining High-quality Solutions of Quadratic Knapsack Problems on Solid-state Annealers}, journal = {IEICE Transactions on Information and Systems}, year = 2022, } @article{CTT100871991, author = {Masanori Hashimoto and X Bai and Naoki Banno and Munehiro Tada and Toshitsugu Sakamoto and Jaehoon Yu and Ryutaro Doi and Hidetoshi Onodera and Takashi Imagawa and Hiroyuki Ochi}, title = {Via-switch FPGA with transistor-free programmability enabling energy-efficient near-memory parallel computation}, journal = {Japanese Journal of Applied Physics}, year = 2022, } @article{CTT100871987, author = {Kazutoshi Hirose and Jaehoon Yu and Kota Ando and Yasuyuki Okoshi and Angel Lopez Garcia-Arias and Junnosuke Suzuki and Thiem Van Chu and Kazushi Kawamura and Masato Motomura}, title = {Hiddenite: 4K-PE Hidden Network Inference 4D-Tensor Engine Exploiting On-Chip Model Construction Achieving 34.8-to-16.0TOPS/W for CIFAR-100 and ImageNet}, journal = {International Solid-State Circuits Conference}, year = 2022, } @article{CTT100849407, author = {Junnosuke Suzuki and Tomohiro Kaneko and Kota Ando and Kazutoshi Hirose and Kazushi Kawamura and Thiem Van Chu and Masato Motomura and Jaehoon Yu}, title = {ProgressiveNN: Achieving Computational Scalability with Dynamic Bit-Precision Adjustment by MSB-first Accumulative Computation}, journal = {International Journal of Networking and Computing}, year = 2021, } @article{CTT100849408, author = {Shungo Kumazawa and Kazushi Kawamura and Thiem Van Chu and Masato Motomura and Jaehoon Yu}, title = {ExtraFerns: Fully Parallel Ensemble Learning Technique with Random Projection and Non-Greedy yet Minimal Memory Access Training}, journal = {International Journal of Networking and Computing}, year = 2021, } @article{CTT100847940, author = {Kazutoshi Hirose and Shinya Takamaeda-Yamazaki and Jaehoon Yu and Masato Motomura}, title = {Selective Fine-Tuning on a Classifier Ensemble: Realizing Adaptive Neural Networks With a Diversified Multi-Exit Architecture}, journal = {IEEE Access}, year = 2021, } @article{CTT100827098, author = {Ryutaro Doi and Jaehoon Yu and Masanori Hashimoto}, title = {Sneak Path Free Reconfiguration with Minimized Programming Steps for Via-switch Crossbar Based FPGA}, journal = {IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}, year = 2020, } @article{CTT100827089, author = {TaiYu Cheng and Yukata Masuda and Jun Chen and Jaehoon Yu and Masanori Hashimoto}, title = {Logarithm-approximate floating-point multiplier is applicable to power-efficient neural network training}, journal = {}, year = 2020, } @article{CTT100827102, author = {Salita Sombatsiri and Seiya Shibata and Yuki Kobayashi and Hiroaki Inoue and Takashi Takenaka and Takeo Hosomi and Jaehoon Yu and Yoshinori Takeuchi}, title = {Parallelism-flexible Convolution Core for Sparse Convolutional Neural Networks on FPGA}, journal = {IPSJ Transactions on System LSI Design Methodology}, year = 2019, } @inproceedings{CTT100872074, author = {Masafumi Tanaka and Jaehoon Yu and Masaki Nakagawa and Naoya Tate and Masanori Hashimoto}, title = {Investigating Small Device Implementation of FRET-based Optical Reservoir Computing}, booktitle = {}, year = 2022, } @inproceedings{CTT100871992, author = {Yasuyuki Okoshi and Angel Lopez Garcia-Arias and Kazutoshi Hirose and Kota Ando and Kazushi Kawamura and Thiem Van Chu and Masato Motomura and Jaehoon Yu}, title = {Multicoated Supermasks Enhance Hidden Networks}, booktitle = {}, year = 2022, } @inproceedings{CTT100872073, author = {Satida Sookpong and Satida Sookpong and Teerasit Kasetkasem and Teera Phatrapornnant and Jaehoon Yu}, title = {A Unhealthy Plant Identification System Using a Generative Adversarial Network}, booktitle = {}, year = 2022, } @inproceedings{CTT100871989, author = {劉 載勲}, title = {データ再利用性を考慮した高効率CNN推論アーキテクチャ}, booktitle = {}, year = 2022, } @inproceedings{CTT100871994, author = {Thiem Van Chu and Ryuichi Kitajima and Kazushi Kawamura and Jaehoon Yu and Masato Motomura}, title = {A High-Performance and Flexible FPGA Inference Accelerator for Decision Forests Based on Prior Feature Space Partitioning}, booktitle = {}, year = 2021, } @inproceedings{CTT100871995, author = {Angel Lopez Garcia-Arias and Masanori Hashimoto and Masato Motomura and Jaehoon Yu}, title = {Hidden-Fold Networks: Random Recurrent Residuals Using Sparse Supermasks}, booktitle = {}, year = 2021, } @inproceedings{CTT100849406, author = {Kota Ando and Jaehoon Yu and Kazutoshi Hirose and Hiroki Nakahara and Kazushi Kawamura and Thiem Van Chu and Masato Motomura}, title = {Edge Inference Engine for Deep & Random Sparse Neural Networks with 4-bit Cartesian-Product MAC Array and Pipelined Activation Aligner}, booktitle = {}, year = 2021, } @inproceedings{CTT100847941, author = {Takashi Imagawa and Jaehoon Yu and Masanori Hashimoto and Hiroyuki Ochi}, title = {MUX Granularity Oriented Iterative Technology Mapping for Implementing Compute-Intensive Applications on Via-Switch FPGA}, booktitle = {}, year = 2021, } @inproceedings{CTT100847594, author = {Shungo Kumazawa and Kazushi Kawamura and Thiem Van Chu and Masato Motomura and Jaehoon Yu}, title = {ExtraFerns: Fully Parallel Ensemble Learning Technique with Non-Greedy yet Minimal Memory Access Training}, booktitle = {}, year = 2020, } @inproceedings{CTT100847593, author = {Junnosuke Suzuki and Kota Ando and Kazutoshi Hirose and Kazushi Kawamura and Thiem Van Chu and Masato Motomura and Jaehoon Yu}, title = {ProgressiveNN: Achieving Computational Scalability without Network Alteration by MSB-first Accumulative Computation}, booktitle = {}, year = 2020, } @inproceedings{CTT100833305, author = {Ángel López García-Arias and Jaehoon Yu and Masanori Hashimoto}, title = {Low-Cost Reservoir Computing using Cellular Automata and Random Forests}, booktitle = {}, year = 2020, } @inproceedings{CTT100831835, author = {Kazuki Onishi and Jaehoon Yu and Masanori Hashimoto}, title = {Memory Efficient Training using Lookup-Table-based Quantization for Neural Network}, booktitle = {}, year = 2020, } @inproceedings{CTT100827092, author = {M Hashimoto and X Bai and N Banno and M Tada and T Sakamoto and J Yu and R Doi and Y Araki and H Onodera and T Imagawa and H Ochi and K Wakabayashi and Y Mitsuyama and T Sugibayashi}, title = {Via-Switch FPGA: 65nm CMOS Implementation and Architecture Extension for AI Applications}, booktitle = {}, year = 2020, } @inproceedings{CTT100827095, author = {Shota Fukui and Jaehoon Yu and Masanori Hashimoto}, title = {Distilling Knowledge for Non-Neural Networks}, booktitle = {}, year = 2019, } @inproceedings{CTT100827094, author = {Toranosuke Tanio and Kouya Takeda and Jaehoon Yu and Masanori Hashimoto}, title = {Training Data Reduction using Support Vectors for Neural Networks}, booktitle = {}, year = 2019, } @inproceedings{CTT100827104, author = {Cheng TaiYu and Jaehoon Yu and Masanori Hashimoto}, title = {Minimizing Power for Neural Network Training with Logarithm-Approximate Floating-Point Multiplier}, booktitle = {}, year = 2019, } @inproceedings{CTT100853555, author = {Jaehoon Yu}, title = {Interconnect Delay Analysis for RRAM Crossbar Based FPGA}, booktitle = {}, year = 2018, } @misc{CTT100871997, author = {劉 載勲}, title = {ランダム・スパース・ストカスティック-新しい計算の形を目指して-}, year = 2022, } @misc{CTT100903902, author = {本村真人 and 劉載勲}, title = {ニューラルネットワーク回路装置}, howpublished = {公開特許}, year = 2023, month = {}, note = {特願2021-192336(2021/11/26), 特開2023-078975(2023/06/07)} }