"M. Okamoto,K. Kakushima,Y. Kataoka,K. Natori,H. Wakabayashi,K. Tsutsui,H. Iwai,W. Saito","Dependence of Ti/C Ratio on Ohmic contact with TiC electrode for AlGaN/GaN structure","WiPDA",,,,,,2014,Oct. "Takamasa Kawanago,Kuniyuki KAKUSHIMA,パールハットアヘメト,西山彰,Nobuyuki Sugii,KAZUO TSUTSUI,KENJI NATORI,takeo hattori,HIROSHI IWAI","Nitrogen incorporated La-silicate gate dielectric with high scalability","[G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World’s Leading Scientists",,,,,,2013, "マイマイティ マイマイティレャアティ,Miyuki Kouda,Kuniyuki KAKUSHIMA,Hiroshi Nohira,Ahmet Parhat,片岡好則,西山彰,KAZUO TSUTSUI,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Valance number transition and silicate formation of cerrium oxide on Si(100)",,"Vacuum",,"Vol. 86","No. 10","pp. 1513-1516",2012,Apr. "マイマイティ マイマイティレャアティ,Miyuki Kouda,Takamasa Kawanago,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,片岡 好則,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","The effect of remote Coulomb scattering on electron mobility in La2O3 gate stacked MOSFETs",,"Semiconductor Science and Technology",,"Vol. 27","No. 4",,2012,Mar. "Takamasa Kawanago,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Covalent Nature in La-Silicate Gate Dielectrics for Oxygen Vacancy Removal2",,"IEEE ELECTRON DEVICE LETTERS",,"Vol. 33","No. 3","pp. 423-425",2012,Mar. "Takamasa Kawanago,Yeonghun Lee,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","EOT of 0.62 nm and High Electron Mobility in La-silicate/Si Structure Based nMOSFETs Achieved by Utilizing Metal-Inserted Poly-Si Stacks and Annealing at High Temperature",,"IEEE Transactions on Electron Devices",,"Vol. 59","No. 2","pp. 269-276",2012,Feb. "Takamasa Kawanago,鈴木 拓也,Yeonghun Lee,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Compensation of oxygen defects in La-silicate gate dielectrics for improving effective mobility in high-k/metal gate MOSFET using oxygen annealing process",,"Solid-State Electronics",,"Vol. 68",,"pp. .68-72",2012,Feb. "Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,Kenji Ohmori,KENJI NATORI,Keisaku Yamada,HIROSHI IWAI","Effects of corner angle of trapezoidal and triangular channel cross-sections on electrical performance of silicon nanowire field-effect transistors with semi gate-around structure",,"Solid-State Electronics",,"Vol. 65-66",,"pp. 2-8",2011,Nov. "Soshi Sato,Kuniyuki KAKUSHIMA,Kenji Ohmori,KENJI NATORI,Keisaku Yamada,HIROSHI IWAI","Electrical characteristics of asymmetrical silicon nanowire field-effect transistors",,"APPLIED PHYSICS LETTERS",,"Vol. 99","No. 22","pp. 223518-1-3",2011,Nov. "Miyuki Kouda,Takamasa Kawanago,Ahmet Parhat,KENJI NATORI,takeo hattori,HIROSHI IWAI","Interface and electrical properties of Tm2O3 gate dielectrics for gate oxide scaling in MOS devices",,"Journal of Vacuum Science and Technology B",,"Vol. 29","No. 6","pp. 062202-1-4",2011,Nov. "unknown unknown,W. Yasenjiang,Kuniyuki KAKUSHIMA,Ahmet Parhat,M. Geni,KENJI NATORI,HIROSHI IWAI","Effects of Scattering Direction of Hot Electrons in the Drain of Ballistic n+?i?n+ Diode",,"Japanese Journal of Applied Physics",,"Vol. 50","No. 10","pp. 104301-1-3",2011,Oct. "来山大祐,久保田透,Tomotsune Koyanagi,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","[ 183] D. Kitayama, T. Kubota, T. Koyonagi, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai, “Silicate Reaction Control at Lanthanum Oxide and Silicon Interface for Equivalent Oxide Thickness of 0.5nm* Adjustment of Amount of Residual Oxygen Atoms in Metal Layer”, Japanese Journal of Applied Physics, Vol.50, No.10, pp.10PA05-1-5, October, 2011",,"Japanese Journal of Applied Physics",,"Vol. 50","No. 10","pp. 10PA05-1-5",2011,Oct. "ダリューシュザデ,Takashi Kanda,山下晃司,Kuniyuki KAKUSHIMA,Hiroshi Nohira,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Capacitance-Voltage Characterization of La2O3 Metal-Oxide-Semiconductor Structures on Ino.53Ga.0.47As Substrate with Different Surface Treatment Methods",,"Japanese Journal of Applied Physics",,"Vol. 50","No. 10","pp. 10PD03-1-4",2011,Oct. "Miyuki Kouda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Rare Earth Oxide Capping Effect on La2O3 Gate Dielectrics for Equivalent Oxide Thickness Scaling toward 0.5nm",,"Japanese Journal of Applied Physics",,"Vol. 50","No. 10","pp. 10PA04-1-4",2011,Oct. "ダリューシュザデ,Kuniyuki KAKUSHIMA,Takashi Kanda,Y.C.Lin,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,E.Y.Chang,KENJI NATORI,takeo hattori,HIROSHI IWAI","Improving electrical characteristics of W/HfO2/Ino.53Gao.47As gate stacks by altering deposition techniques",,"Microelectronic Engineering",,"Vol. 88","No. 7","pp. 1109-1112",2011,July "来山大祐,Tomotsune Koyanagi,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Effect of thin Si insertion at metal gate/high-k interface on electrical characteristics of MOS device with La2 O3",,"Microelectronic Engineering",,"Vol. 88","No. 7","pp. 1330-1333",2011,July "Soshi Sato,Wei Li,Kuniyuki KAKUSHIMA,Kenji Ohmori,KENJI NATORI,Keisaku Yamada,HIROSHI IWAI","Eatraction of additional interfacial states of silicon nanowire field-effect transistors",,"APPLIED PHYSICS LETTERS",,"Vol. 98",,,2011,June "HIROSHI IWAI,KENJI NATORI,Kenji Shiraishi,岩田 潤一,押山 淳,Keisaku Yamada,Kenji Ohmori,Kuniyuki KAKUSHIMA,Ahmet Parhat","Si nanowire FET and its modeling",,"Science China",,"Vol. 54","No. 5","pp. 1004-1011",2011,May "Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,Kenji Ohmori,KENJI NATORI,Keisaku Yamada,HIROSHI IWAI","Structural advantages of rectangular-like channel cross-section on electrical characteristics of silicon nanowire field-effect transistors",,"Microelectronics Reliability",,"Vol. 51",,"pp. 879-884",2011,May "Soshi Sato,Kenji Ohmori,Kuniyuki KAKUSHIMA,Ahmet Parhat,KENJI NATORI,Keisaku Yamada,HIROSHI IWAI","Experimental Characterization of Quasi-Fermi Pontential Profile in the Channel of a Silicon Nanowire Field-Effect Transistor with Four-Terminal Geometry",,"Applied Physics Express",,"Vol. 4","No. 044201",,2011,Apr. "DARYOUSH ZADEH,Soshi Sato,Kuniyuki KAKUSHIMA,A. Srivastava,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,C.K. Sarkar,HIROSHI IWAI","Effects of La2O3 incorporation in HfO2 gated nMOSFETs on low-frequency noise",,"Microelectronics Reliability",,"Vol. 51",,"pp. 746-750",2011,Apr. "Yeonghun Lee,Kuniyuki KAKUSHIMA,KENJI NATORI,HIROSHI IWAI","Cross-Sectional Distribution of Phonon-Limited Electron Mobility in Rectangular Silicon Nanowire Field Effect Transistors","2011 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ULSI DEVICES: SCIENCE AND TECHNOLOGY",,,,,,2011,Jan. "細田倫央,李映勲,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,岩井洋","矩形断面SiナノワイヤMOSFETにおけるフォノン散乱に制限された移動度のサイズ依存性","第72回応用物理学会学術講演会",,,,,,2011, "Miyuki Kouda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Rare earth oxide capping effect on La2O3 gate dielectrics toward EOT of 0.5nm","2011 International Workshop on Dielectric Thin Films for Future ULSI Devices: Science and Technology(IWDTF-11)",,,,,,2011, "Miyuki Kouda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Preparation and electrical characterization of CeO2 films for gate dielectrics application: comparative study of CVD and ALD processes","2011 International Workshop on Dielectric Thin Films for Future ULSI Devices: Science and Technology(IWDTF-11)",,,,,,2011, "ダリューシュザデ,Takashi Kanda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Effects of In0.53Ga0.47As Surface Preparation on MOS Device Electrical Characterization","2011 International Workshop on Dielectric Thin Films for Future ULSI Devices: Science and Technology(IWDTF-11)",,,,,,2011, "Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,Kenji Ohmori,KENJI NATORI,Keisaku Yamada,HIROSHI IWAI","Influence of the cross-sectional shape for Si nanowire FETs",",Taiwan-Japan Workshop on “Nano Devices”",,,,,,2011, "Yeonghun Lee,Kuniyuki KAKUSHIMA,KENJI NATORI,HIROSHI IWAI","Corner Effects on Phonon-Limited Mobility in Rectangular Si Nanowire MOSFETs","Taiwan-Japan Workshop on “Nano Devices”",,,,,,2011, "unknown unknown,Kuniyuki KAKUSHIMA,Ahmet Parhat,KENJI NATORI,HIROSHI IWAI","Influence of Phonon Generation of Hot Electrons in Drain Region on Ballistic Transport","Taiwan-Japan Workshop on “Nano Devices”",,,,,,2011, "Naoto Shigemori,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","An effective suppression process for Ni silicide enchroachment into Si nanowire","Taiwan-Japan Workshop on “Nano Devices”",,,,,,2011, "小山将央,Naoto Shigemori,Hideaki Arai,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Lateral encroachment of Ni silicide into silicon nanowire","Taiwan-Japan Workshop on “Nano Devices”",,,,,,2011, "中島一裕,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Interface State Density Measurement of Three Dimensional Silicon Structures by Charge Pumping Method","Taiwan-Japan Workshop on “Nano Devices”",,,,,,2011, "Takamasa Kawanago,鈴木 拓也,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","An effective process for oxygen defect suppression for La-based oxide gate dielectric","Taiwan-Japan Workshop on “Nano Devices”",,,,,,2011, "金田翼,Miyuki Kouda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Effect of rare earth oxide capping for La-based gate oxides","Taiwan-Japan Workshop on “Nano Devices”",,,,,,2011, "マイマイティ マイマイティレャアティ,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,HIROSHI IWAI","Remote-surface-roughness scattering-limited electron, mobility in ultrathin high-k gate stacked MOSFETs","Taiwan-Japan Workshop on “Nano Devices”",,,,,,2011, "来山大祐,久保田 透,Tomotsune Koyanagi,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Precise Control of Silicate Reaction with La2O3 Gate Dielectrics towards Equivalent Oxide Thickness of 0.5 nm","Taiwan-Japan Workshop on “Nano Devices”",,,,,,2011, "Takashi Kanda,ダリューシュザデ,Y. C. Lin,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,E.Y. Chang,KENJI NATORI,takeo hattori,HIROSHI IWAI","Annealing Effect on the Electrical Properties of La2O3/InGaAs MOS Capacitors","CSTIC2011",,,,,,2011, "Takamasa Kawanago,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Metal Inserted Poly-Si Stacks with La2O3 Gate Dielectrics for Scaled EOT and VFB Control by Oxygen Incorporation","CSTIC2011",,,,,,2011, "Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,Kenji Ohmori,KENJI NATORI,Keisaku Yamada,HIROSHI IWAI","Structural Effects of Channel Cross-section on a Gate Capacitance of Silicon Nanowire Field-Effect Transistors","CSTIC2011",,,,,,2011, "Ahmet Parhat,来山大祐,金田翼,鈴木 拓也,Tomotsune Koyanagi,Miyuki Kouda,マイマイティ マイマイティレャアティ,Takamasa Kawanago,Kuniyuki KAKUSHIMA,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","TiN/W/La2O3 /Si High-k Gate Stack for EOT below o.5nm","CSTIC2011",,,,,,2011, "Ahmet Parhat,来山大祐,金田翼,鈴木 拓也,Tomotsune Koyanagi,Miyuki Kouda,マイマイティ マイマイティレャアティ,Takamasa Kawanago,Kuniyuki KAKUSHIMA,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Effects of Metal Layer Insertion on EOT scaling in TiN/Metal/ La2O3 Si High ?k Gate Stacks",",219th ECS Meeting",,,,,,2011, "C. Dou,向井 弘樹,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Resistive switching behaviors of ReRAM having W/CeO2/Si/TiN structure","219th ECS Meeting",,,,,,2011, "田中 祐樹,川那子高暢,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","シリコン基板上に堆積したナノカーボン薄膜への高温短時間アニーリングの影響","第72回応用物理学会学術講演会",,,,,,2011, "関 拓也,来山大祐,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","High-k/Si 直接接合構造における界面準位の定量評価について","第72回応用物理学会学術講演会",,,,,,2011, "Kamale Tuokedaerhan,金田翼,マイマイティ マイマイティレャアティ,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","La2O3/n-Si 構造に対するPost Deposition Annealの電気特性への影響","第72回応用物理学会学術講演会",,,,,,2011, "常石佳奈,来山大祐,幸田みゆき,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","W/Tm2O3/n-Si構造キャパシタの電気特性におけるTm2O3膜厚依存性","第72回応用物理学会学術講演会",,,,,,2011, "鈴木佑哉,細井隆司,ダリューシュザデ,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","high-k/In0.53Ga0.47As MOS キャパシタの容量-電圧特性の解析","第72回応用物理学会学術講演会",,,,,,2011, "松本一輝,小山将央,呉研,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","酸化膜被覆型SiナノワイヤおよびSi Fin構造におけるNiシリサイド成長機構の検討","第72回応用物理学会学術講演会",,,,,,2011, "田村雄太,角嶋邦之,中塚 理,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","NiとSiの積層薄膜によって形成したシリサイドのシート抵抗に対する熱処理温度の影響","第72回応用物理学会学術講演会",,,,,,2011, "吉原 亮,角嶋邦之,パールハットアヘメト,中塚理,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Ni/Si積層から形成されるNiシリサイドのショットキーダイオードの電流特性","第72回応用物理学会学術講演会",,,,,,2011, "LiWei,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","コンダクタンス法による立体チャネルを有するMOSキャパシタの界面準位密度の評価","第72回応用物理学会学術講演会",,,,,,2011, "叶真一,MokhammadSholihul Hadi,竇春萌,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","希土類酸化物(CeOX)を用いたMIM構造の抵抗スイッチング特性","第72回応用物理学会学術講演会",,,,,,2011, "佐藤創志,角嶋邦之,パールハットアヘメト,大毛利健治,名取研二,山田啓作,岩井洋","Effects of corners of channel cross-section on electrical performance of silicon nanowire field-effect transistors","ゲートスタック研究会?材料・プロセス・評価の物理?(第16回研究会)",,,,,,2011, "unknown unknown,Kuniyuki KAKUSHIMA,Ahmet Parhat,M. Geni,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","The Effect of Isotropic and Anisotropic Scattering in Drain Region of Ballistic Channel Diode","ICSICT(International Conference on Solid-State and Integrated Circuit Technology)2010",,,,,,2010,Nov. "Tomotsune Koyanagi,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Impact of Alkali-Earth-Elements Incorporation on Vfb R0ll-Off Characteristics of La2O3 Gated MOS Device","ECS 218th Meeting",,,,,,2010,Oct. "M. Mamatrishat,Miyuki Kouda,Takamasa Kawanago,Kuniyuki KAKUSHIMA,Ahmet Parhat,A. Aierken,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,HIROSHI IWAI","Effect of Remote-Surface ?Roughness Scattering on Electron Mobility in MOSFETs with High-k Dielectrics","ECS 218th Meeting",,,,,,2010,Oct. "Naoto Shigemori,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Suppression of Lateral Encroachment of Ni Silicide into Si Nanowires using Nitrogen Incorporation","tECS 218th Meeting",,,,,,2010,Oct. "来山大祐,Tomotsune Koyanagi,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","TiN Capping Effect on High Temperature Annealed RE-Oxide MOS Capacitors for Scaled EOT","ECS 218th Meeting",,,,,,2010,Oct. "Y. Wu,Naoto Shigemori,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,西山彰,KENJI NATORI,takeo hattori,HIROSHI IWAI","Observation of Tunneling FET operation in MOSFET with NiSi/Si Schottky source/channel interface","ECS 218th Meeting",,,,,,2010,Oct. "細井隆司,神田高志,ダリューシュザデ,Yueh Chin Lin,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,Edward Yi Chang,名取研二,服部健雄,岩井洋","絶縁膜材料を用いたIn0.53Ga0.47As MOSキャパシタの電気特性","第71回応用物理学会学術講演会",,,,,,2010,Sept. "金田翼,幸田みゆき,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Tm-oxide/La2O3構造ゲート絶縁膜の界面特性評価","第71回応用物理学会学術講演会",,,,,,2010,Sept. "李映勲,角嶋邦之,白石賢二,名取研二,岩井洋","バリスティックナノワイヤトランジスタ性能の太さ依存における状態密度と静電容量のトレードオフ","第71回応用物理学会学術講演会",,,,,,2010,Sept. "中島 一裕,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","チャージポンピング法による立体Si構造の界面準位密度の評価","第71回応用物理学会学術講演会",,,,,,2010,Sept. "鈴木 拓也,川那子高暢,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","希釈酸素雰囲気熱処理を用いたLaシリケート/Si MOS構造のVFB/Vthシフトの低EOTへの適用","第71回応用物理学会学術講演会",,,,,,2010,Sept. "来山大祐,小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","高温短時間熱処理を用いた希土類MOSキャパシタへのTiNキャップ効果","第71回応用物理学会学術講演会",,,,,,2010,Sept. "竇 春萌,マイマイティ マイマイティレャアティ,ダリューシュザデ,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","希土類(Ce,Eu)酸化物MIM構造の抵抗スイッチング特性","第71回応用物理学会学術講演会",,,,,,2010,Sept. "呉研,茂森直登,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","トンネルFET動作に向けたNiシリサイド/Si接触におけるトンネル電流の観測","第71回応用物理学会学術講演会",,,,,,2010,Sept. "Soshi Sato,Yeonghun Lee,Kuniyuki KAKUSHIMA,Ahmet Parhat,Kenji Ohmori,KENJI NATORI,Keisaku Yamada,HIROSHI IWAI","Gate Semi-Around Si Nanowire FET Fabricated by Conventional CMOS Process with Very High Drivability","ESSDERC 2010, 40th European Solid-State Device Research Conference",,,,,,2010,Sept. "小山 将央,茂森直登,佐藤創志,角嶋邦之,パールハットアヘメト,西山彰,筒井一生,杉井信之,名取研二,服部健雄,岩井洋","窒素導入によるSiナノワイヤ内へのNiシリサイド侵入抑制機構の検討","第71回応用物理学会学術講演会",,,,,,2010,Sept. "Takamasa Kawanago,Yeonghun Lee,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Optimized Oxygen Annealing Process for Vth Tuning of p-MOSFET with High-k/Metal Gate Stacks","ESSDERC 2010, 40th European Solid-State Device Research Conference",,,,,,2010,Sept. "マイマイティ マイマイティレャアティ,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","High-kゲートスタックMOSFETにおける電子移動度のリモート界面ラフネス散乱依存性","第71回応用物理学会学術講演会",,,,,,2010,Sept. "角嶋邦之,小柳友常,来山大祐,幸田みゆき,宋在烈,佐藤創志,川那子高暢,M. マイマイティ,舘喜一,M.K. Bera,パールハットアヘメト,野平博司,筒井一生,西山彰,杉井信之,名取研二,服部健雄,山田啓作,岩井洋","LaCe シリケート膜を用いたEOT<0.7nm の直接接合 high-k/Si の実現とフラットバンド電圧制御","応用物理学会分科会 シリコンテクノロジー",",野平博司,筒井一生,西山彰,杉井信之,名取研二,服部健雄,山田啓作,岩井洋“LaCe シリケート膜を用いたEOT<0.7nm の直接接合 high-k/Si の実現とフラットバンド電圧制御” 応用物理学会分科会 シリコンテクノロジー No.127 pp.4-8(2010年7月22日 )",,,"No. 127","pp. 4-8",2010,July "来山大祐,小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","EOT=0.5nmに向けた希土類MOSデバイスの高温短時間熱処理の検討",,"電子情報通信学会技術研究報告 pp.43-48",,,,,2010,June "佐藤創志,角嶋邦之,パールハットアヘメト,大毛利健二,名取研二,岩井洋,山田啓作","キャリア移動度評価によるシリコンナノワイヤトランジスタの電気特性解析",,"電子情報通信学会技術研究報告 pp.11-16",,,,,2010,June "茂森直登,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","SiナノワイヤへのNiシリサイド形成と過剰な侵入とその抑制に関する検討",,"電子情報通信学会技術研究報告 pp.17-22",,,,,2010,June "Yeonghun Lee,KENJI NATORI,HIROSHI IWAI,Kuniyuki KAKUSHIMA,Kenji Shiraishi","Size-Dependent Properties of Ballistic Silicon Nanowire Field Effect Transistors",,"Journal of Applied Physics",,"Vol. 107","No. 11","pp. 113705",2010,June "Kuniyuki KAKUSHIMA,Tomotsune Koyanagi,来山大祐,Miyuki Kouda,Jaeyeol Song,Takamasa Kawanago,M. Mamatrishat,Kiichi Tachi,M. K. Bera,Ahmet Parhat,Hiroshi Nohira,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,Keisaku Yamada,HIROSHI IWAI","Direct Contact of High-k/Si Gate Stack for EOT below 0.7 nm using LaCe-silicate Layer with Vfb controllability","2010 Symposium on VLSI Technology",,,,,,2010,June "佐藤創志,新井英朗,角嶋邦之,パールハットアヘメト,大毛利 健治,名取研二,岩井洋,山田啓作","Siナノワイヤトランジスタの電気特性の断面形状依存症","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-270",2010,Apr. "Wataru Hosoda,Kenji Ozawa,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Fabrication of SB-MOSFETs on SOI Substrate Using Ni Silicide Containing Er Interlayer","China Semiconductor Technology International Conference",,,,,"pp. 1105-1110",2010,Mar. "小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","La2O3 MOSデバイスへのアルカリ土類元素キャップによる電気特性の変化","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-098",2010,Mar. "幸田みゆき,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","希土類酸化物をキャップすることによるMOSFETの電気特性の改善","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-097",2010,Mar. "小澤健児,幸田みゆき,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","La2O3 MOSFETへのCeOxキャップによる電気特性の改善","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-096",2010,Mar. "ダリューシュ ザデ,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","界面にLa2O3 絶縁膜層を挿入したHf系high-kゲートMOSFETの評価","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-095",2010,Mar. "Katuya Matano,Kiyohisa Funamizu,Miyuki Kouda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric","China Semiconductor Technology International Conference",,"[",,,"pp. 1129-1134",2010,Mar. "来山 大祐,小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","来山大祐,小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“EOT=0.5nm に向けたTaSi2/La2O3/CeOxゲートスタック構造の検討","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-094",2010,Mar. "AbudukelimuAbudureheman,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,HIROSHI IWAI,takeo hattori,KENJI NATORI","Performance of Silicon Ballistic Nanowire MOSFET with Diverse Orientations and Diameters","China Semiconductor Technology International Conference",,,,,"pp. 1111-1116",2010,Mar. "川那子高暢,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","酸素添加がWゲートMOSデバイスの電気特性に与える影響","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-151",2010,Mar. "茂森直登,新井英朗,佐藤創志,角嶋邦之,パールハットアヘメト,西山彰,筒井一生,杉井信之,名取研二,服部健雄,岩井洋","酸化膜中のSiナノワイヤへのNi拡散の制御","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-269",2010,Mar. "李映勲,角嶋邦之,白石賢二,名取研二,岩井洋","バリスティックSiナノワイヤトランジスタの電気特性の直径依存性","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-272",2010,Mar. "神田高志,船水清永,Yueh Chin Lin,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,Edward Yi Chang,名取研二,服部健雄,岩井洋","HfO2/ La2O3/ In0.53 Ga0.47As構造の界面特性の変化","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-141",2010,Mar. "ダリューシュザデ,神田高志,細井隆司,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Towards High Performance III-V MOSFET, A Study on high-k Gate Stacks on In0.53Ga0.47As","複合創造領域シンポジウム",,,,,,2010, "久保田透,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Spectroscopic analysis of interface state density in high-k/Si structure","複合創造領域シンポジウム",,,,,,2010, "来山大祐,小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Process Optimization of Rare-Earth Oxides Gated MOS Devices for Future EOT Scaling","複合創造領域シンポジウム",,,,,,2010, "マイマイティ マイマイティレャアティ,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,岩井洋","Remote Coulomb and roughness scatterings in gate oxide scaling","複合創造領域シンポジウム",,,,,,2010, "佐藤創志,角嶋邦之,パールハットアヘメト,大毛利健治,山田啓作,名取研二,岩井洋","Influence of the cross-sectional shape for Si nanowire FETs","複合創造領域シンポジウム",,,,,,2010, "金田翼,幸田みゆき,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Effect of Rare Earth Oxide Capping for La-based Gate Oxides","複合創造領域シンポジウム",,,,,,2010, "小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Flatband Voltage Shift of La-based Gate Oxides with Alkali-earth-elements Incorporation","複合創造領域シンポジウム",,,,,,2010, "川那子高暢,鈴木 拓也,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","An Effective Process for Oxygen Defects Suppression for La-based Oxide Gate Dielectric","複合創造領域シンポジウム",,,,,,2010, "中島一裕,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Interface state density of 3-D structured Si using charge pumping method","複合創造領域シンポジウム",,,,,,2010, "小山将央,茂森直登,新井英朗,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Lateral encroachment of Ni silicide into Si nanowire","複合創造領域シンポジウム",,,,,,2010, "茂森直登,佐藤創志,角嶋邦之,パールハットアヘメト,西山彰,筒井一生,杉井信之,名取研二,服部健雄,岩井洋","Suppression of Lateral Encroachment of Ni Silicide into Si Nanowires using Nitrogen Incorporation","複合創造領域シンポジウム",,,,,,2010, "Yeonghun Lee,Kuniyuki KAKUSHIMA,Kenji Shiraishi,KENJI NATORI,HIROSHI IWAI","Trade-off between density of states and gate capacitance in size-dependent injection velocity of ballistic n-channel silicon nanowire transistors",,"Applied Physics Letters97, 1, ????,2010",," 97"," 1",,2010, "Yeonghun Lee,Kuniyuki KAKUSHIMA,Kenji Shiraishi,KENJI NATORI,HIROSHI IWAI","Trade-off between density of states and gate capacitance in size-dependent injection velocity of ballistic n-channel silicon nanowire transistors",,"Applied Physics Letters97, 1",,,,,2010, "李映勲,角嶋邦之,名取研二,岩井洋","Diameter-dependent injection velocity of ballistic Si nanowire MOSFETs","複合創造領域シンポジウム",,,,,,2010, "AbudukelimuAbudureheman,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","The Effect of Scattering in Drain Region of Ballistic Channel Diode","複合創造領域シンポジウム",,,,,,2010, "呉研,茂森直登,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Observation of Tunneling FET operation in MOSFET with NiSi/Si Schottky source/channel interface","複合創造領域シンポジウム",,,,,,2010, "竇春萌,向井弘樹,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Feasibility study of Ce oxide for resistive RAM application","複合創造領域シンポジウム",,,,,,2010, "Yeonghun Lee,Kuniyuki KAKUSHIMA,Kenji Shiraishi,KENJI NATORI,HIROSHI IWAI","Systematic Study on Size Dependences of Transport Parameters for Ballistic Nanowire-FET with Effective Mass Approximation","2009 International Conference on Solid Sate Devices and Materials",,,,," E-7-5",2009,Oct. "Takamasa Kawanago,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Experimental Investigation of VFB shift and Effective Mobility in La2O3 MOS Devices","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "M.Mamatrishat,Miyuki Kouda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Study on Remote Coulomb Scattering Limited Mobility in MOSFETs with CeO2/ La2O3 Gate Stacks","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "A.Abudukelimu,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Current-Voltage Characteristics of Ballistic Nanowire MOSFET by Numerical Analysis","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Yeonghun Lee,Kuniyuki KAKUSHIMA,Kenji Shiraishi,KENJI NATORI,HIROSHI IWAI","Size-Dependent Transport Characteristics of Ballistic Silicon Nanowire FETs","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Hideaki Arai,Hideyuki Kamimura,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,西山彰,KAZUO TSUTSUI,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Annealing Reaction for Ni Silicidation of Si Nanowire","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Kiyohisa Funamizu,Takashi Kanda,Y.C.Lin,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,E.Y.Chang,KENJI NATORI,takeo hattori,HIROSHI IWAI","Electrical Characteristics of HfO2 and La2O3 Gate Dielectrics for In0.53Ga0.47As MOS Structure","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Wataru Hosoda,Kenji Ozawa,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","A Study of Schottky Barrier Height Modulation of NiSi by Interlayer Insertion and Its Application to SOI SB-MOSFETs","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Tomotsune Koyanagi,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Influence of Alkali Earth Elements Capping on Electrical Characteristics of La2O3 Gated MOS Device","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Hideaki Arai,Hideyuki Kamimura,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Annealing Reaction for Ni Silicidation of Si Nanowire","ECS 216th Meeting",,,"vol. 25","No. 7","pp. 447-454",2009,Oct. "Tomotsune Koyanagi,Koichi Okamoto,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,AKIRA NISHIYAMA,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Impact of Alkali Earth Elements Incorporation on Electrical Characteristics of La2O3 Gated MOS Device","ECS 216th Meeting",,,"vol. 25","No. 6","pp. 17-22",2009,Oct. "M.Mamatrishat,Miyuki Kouda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Analysis of Remote Coulomb Scattering Limited Mobility in MOSFETs with CeO2/La2O3 Gate Stacks","ECS 216th Meeting",,,"vol. 25","No. 7","pp. 253-257",2009,Sept. "李映勲,永田貴弘,角嶋邦之,白石賢二,名取研二,岩井洋","引っ張り歪みSiナノワイヤの電子構造とバリスティック伝導","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 902",2009,Mar. "岩井洋,名取研二,白石賢二,山田啓作,大毛利健二,筒井一生,角嶋邦之,パールハットアヘメト","シリコンナノワイヤFET研究の現状とロードマップ作成の考え方","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 0","pp. 155",2009,Mar.