"Hideaki Arai,Hideyuki Kamimura,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Annealing Reaction for Ni Silicidation of Si Nanowire","ECS 216th Meeting",,,"vol. 25","No. 7","pp. 447-454",2009,Oct. "Kuniyuki KAKUSHIMA,Ahmet Parhat,HIROSHI IWAI","Overwhelming the o.5 nm EOT Level for CMOS Gate Dielectric","ECS 216th Meeting",,,"vol. 25","No. 7","pp. 171-175",2009,Oct. "Yusuke Kobayashi,Kuniyuki KAKUSHIMA,Ahmet Parhat,V.Ramgopal Rao,KAZUO TSUTSUI,HIROSHI IWAI","Short-channel effects on FinFETs induced by inappropriate fin widths","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Tomotsune Koyanagi,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Influence of Alkali Earth Elements Capping on Electrical Characteristics of La2O3 Gated MOS Device","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Katuya Matano,Kuniyuki KAKUSHIMA,Ahmet Parhat,Nobuyuki Sugii,KAZUO TSUTSUI,takeo hattori,HIROSHI IWAI","Threshold Voltage Control in p-MOSFET with High-k Gate dielectric","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Wataru Hosoda,Kenji Ozawa,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","A Study of Schottky Barrier Height Modulation of NiSi by Interlayer Insertion and Its Application to SOI SB-MOSFETs","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Kiyohisa Funamizu,Takashi Kanda,Y.C.Lin,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,E.Y.Chang,KENJI NATORI,takeo hattori,HIROSHI IWAI","Electrical Characteristics of HfO2 and La2O3 Gate Dielectrics for In0.53Ga0.47As MOS Structure","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Hideaki Arai,Hideyuki Kamimura,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,西山彰,KAZUO TSUTSUI,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Annealing Reaction for Ni Silicidation of Si Nanowire","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Hiroto Nakayama,Kuniyuki KAKUSHIMA,Ahmet Parhat,E.Ikenaga,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Crystallographic Orientation Dependent Electrical Characteristics of La2O3 MOS Capacitors","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Yeonghun Lee,Kuniyuki KAKUSHIMA,Kenji Shiraishi,KENJI NATORI,HIROSHI IWAI","Size-Dependent Transport Characteristics of Ballistic Silicon Nanowire FETs","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Miyuki Kouda,Naoto Umezawa,Kuniyuki KAKUSHIMA,Hiroshi Nohira,Ahmet Parhat,Kenji Shiraishi,知京豊裕,Keisaku Yamada,HIROSHI IWAI","Charged defects reduction in gate insulator with multivalent materials","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "A.Abudukelimu,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Current-Voltage Characteristics of Ballistic Nanowire MOSFET by Numerical Analysis","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "M.Mamatrishat,Miyuki Kouda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Study on Remote Coulomb Scattering Limited Mobility in MOSFETs with CeO2/ La2O3 Gate Stacks","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Soshi Sato,Hideaki Arai,Kuniyuki KAKUSHIMA,Ahmet Parhat,HIROSHI IWAI","Evaluation of Channel Potential Profile of Si Nanowire Field Effect Transistor","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Takamasa Kawanago,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Experimental Investigation of VFB shift and Effective Mobility in La2O3 MOS Devices","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Jaeyeol Song,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Effect of Ultrathin Si Passivation Layer for La2O3/Ge MOS structure","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "M.K. Bera,Jaeyeol Song,Ahmet Parhat,Kuniyuki KAKUSHIMA,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Rare-earth based mixed oxide as high-k gate dielectrics for Ge MOSFET","G-COE PICE International Symposium on Silicon Nano Devices",,,,,,2009,Oct. "Yeonghun Lee,Kuniyuki KAKUSHIMA,Kenji Shiraishi,KENJI NATORI,HIROSHI IWAI","Systematic Study on Size Dependences of Transport Parameters for Ballistic Nanowire-FET with Effective Mass Approximation","2009 International Conference on Solid Sate Devices and Materials",,,,," E-7-5",2009,Oct. "Hiroto Nakayama,Kuniyuki KAKUSHIMA,Ahmet Parhat,E.Ikenaga,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Crystallographic Orientation Dependent Electrical Characteristics of La2O3 MOS Capacitors","ECS 216th Meeting",,,"vol. 25","No. 6","pp. 339-345",2009,Oct. "Hiroshi Nohira,Yoichiro Kon,Koji Kitamura,Miyuki Kouda,Kuniyuki KAKUSHIMA,HIROSHI IWAI","Annealing-temperature Dependence of Compositional Depth Profiles and Chemical Bonding States of CeOx / LaOx/Si and LaOx/CeOx /Si Structure","ECS 216th Meeting",,,"vol. 25","No. 6","pp. 321-326",2009,Oct. "Kiyohisa Funamizu,Y.C. Lin,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,E.Y. Chang,takeo hattori,HIROSHI IWAI","Electrical Characteristics of HfO2 and La2O3 Gate Dielectrics for In0.53Ga0.47As MOS Structure","ECS 216th Meeting",,,"vol. 25","No. 6","pp. 265-270",2009,Oct. "M.K.Bera,Jaeyeol Song,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Electrical Properties of Lanthanum-scandate Gate Dielectric Directly Deposited on Ge","ECS 216th Meeting",,,"vol. 25","No. 6","pp. 67-77",2009,Oct. "Tomotsune Koyanagi,Koichi Okamoto,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,AKIRA NISHIYAMA,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Impact of Alkali Earth Elements Incorporation on Electrical Characteristics of La2O3 Gated MOS Device","ECS 216th Meeting",,,"vol. 25","No. 6","pp. 17-22",2009,Oct. "Soshi Sato,Hideyuki Kamimura,Hideaki Arai,Kuniyuki KAKUSHIMA,Ahmet Parhat,Kenji Ohmori,Keisaku Yamada,HIROSHI IWAI","High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration","ESSDERC 2009, 39th European Solid-State Device Research Conference",,,,,"p. 249",2009,Sept. "M.Mamatrishat,Miyuki Kouda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Analysis of Remote Coulomb Scattering Limited Mobility in MOSFETs with CeO2/La2O3 Gate Stacks","ECS 216th Meeting",,,"vol. 25","No. 7","pp. 253-257",2009,Sept. "Kuniyuki KAKUSHIMA,Koichi Okamoto,Tomotsune Koyanagi,Kiichi Tachi,Miyuki Kouda,Takamasa Kawanago,Jaeyeol Song,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Selection of Rare Earth Silicate with SrO Capping for EOT Scaling below o.5 nm","ESSDERC 2009, 39th European Solid-State Device Research Conference",,,,,"p. 403",2009,Sept. "Kuniyuki KAKUSHIMA,HIROSHI IWAI","High-k expariment below 0.4 nm EOT","TIT-UCAM workshop",,,,,,2009,July "船水清永,Yueh-Chin Lin,角嶋邦之,パールハットアヘメト,筒井一生,杉井信之,Edward Yi Chang,服部健雄,岩井洋","High-k ゲート絶縁膜を用いたInxGa1-xAs MOS構造の研究","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 837",2009,July "Miyuki Kouda,Kuniyuki KAKUSHIMA,Naoto Umezawa,Ahmet Parhat,Kenji Shiraishi,Toyohiro Chikyow,Keisaku Yamada,HIROSHI IWAI","Charged defects reduction in gate insulator with multivalent materials","2009 Symposium on VLSI Technology","2009 Symposium on VLSI Technology Digest of Technical Papers","VLSI Technology",,,"pp. 200-201",2009,June "Jaeyeol Song,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Post metallization annealing study in La2O3/Ge MOS structure","INFOS2009, Microelectronic Engineering","INFOS2009",,"Vol. 86",,"pp. 1638-1641",2009,June "Takamasa Kawanago,Jaeyeol Song,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Experimental Study for High Efffective Mobility with directly deposited HfO2/La2O3 MOSFET","INFOS2009, Microelectronic Engineering","INFOS2009",,"Vol. 86",,"pp. 1629-1631",2009,June "Takahiro Nagata,Kuniyuki KAKUSHIMA,KAZUO TSUTSUI,知京豊裕,HIROSHI IWAI","On the thermal stability of nicket silicides","Future Trends in Microelectronics(FTM-2009) Workshop",,,,,,2009,June "星野憲文,中川恭成,野平博司,室 隆桂之,加藤 有香子,甲斐隆行,金成国,パールハットアヘメト,角嶋邦之,水野文二,木下 豊彦,筒井一生,服部健雄,岩井洋","光電子分光によるSi中Asの化学結合状態評価","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 883",2009,Mar. "李映勲,永田貴弘,角嶋邦之,白石賢二,名取研二,岩井洋","引っ張り歪みSiナノワイヤの電子構造とバリスティック伝導","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 902",2009,Mar. "幸田みゆき,角嶋邦之,パールハットアヘメト,筒井一生,杉井信之,服部健雄,岩井洋","CeO2 /La2O3積層ゲート絶縁膜のリーク電流特性の膜厚依存性","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 854",2009,Mar. "細田亘,野口浩平,パールハットアヘメト,角嶋邦之,筒井一生,杉井信之,服部健雄,岩井洋","異種金属界面挿入によるNiシリサイドのショットキー障壁変調とSB-MOSFETへの応用","第56回応用物理学関係連合講演会","第56回応用物理学会予稿集","応用物理学会",,"No. 2","pp. 868",2009,Mar. "宋在烈,舘喜一,角嶋邦之,パールハットアヘメト,筒井一生,杉井信之,服部健雄,岩井洋","極薄Si界面層を挿入したLa2O3/Ge MIS構造における界面準位密度低減に関する検討","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 840",2009,Mar. "野平博司,今陽一郎,北村幸司,幸田みゆき,角嶋邦之,岩井 洋","CeO2 /La2O3/Si(100)構造の熱安定性(2)","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 853",2009,Mar. "岩井洋,名取研二,白石賢二,山田啓作,大毛利健二,筒井一生,角嶋邦之,パールハットアヘメト","シリコンナノワイヤFET研究の現状とロードマップ作成の考え方","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 0","pp. 155",2009,Mar. "岩井洋,山田啓作,大毛利健二,筒井一生,角嶋邦之,パールハットアヘメト,佐藤創志,上村英之,新井英朗","トップダウンSiナノワイヤFETの作製法とその電気的特性のサーベイ","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 0","pp. 147",2009,Mar. "小柳友常,岡本晃一,角嶋邦之,パールハットアヘメト,杉井信之,筒井一生,服部健雄,岩井洋","La2O3MOSデバイスへのSrO導入による電気特性の変化","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 854",2009,Mar. "Hideyuki Kamimura,Hideaki Arai,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Evaluation of Lateral Ni Diffusion in Si Nanowire Schottky Contact","ISTC /CSTIC2009","ISTC /CSTIC2009",,,,"pp. 58",2009,Mar. "Hiroki Fujisawa,A Srivastava,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,C.K. Sarkar,HIROSHI IWAI","Electrical Characterization of W/HfO2 MOSFETs with La2O3 Incorporation","ISTC /CSTIC2009","ISTC /CSTIC2009",,,,"pp. 53",2009,Mar. "小林勇介,角嶋邦之,パールハットアヘメト,V.R. Rao,筒井一生,岩井洋","FinFETの構造ばらつきによるオン電流のばらつきの検討","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 898",2009,Mar. "中山寛人,日野雅文,永田晃基,小瀬村大亮,角嶋邦之,パールハットアヘメト,筒井一生,杉井信之,小椋厚志,服部健雄,岩井洋","As注入とSiN応力膜によるpoly-Siへの歪記憶の検討","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 869",2009,Mar. "又野克哉,川那子高暢,角嶋邦之,パールハットアヘメト,筒井一生,杉井信之,服部健雄,岩井洋","Ge層挿入によるLa2O3-MOSキャパシタのVFB制御","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 854",2009,Mar. "新井英朗,上村英之,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,杉井信之,服部健雄,岩井洋","SiナノワイヤへのNiシリサイド形成の評価","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 901",2009,Mar. "佐藤創志,上村英之,新井英朗,角嶋邦之,パールハットアヘメト,大毛利健二,筒井一生,杉井信之,服部健雄,山田啓作,岩井洋","四端子測定TEGを用いたSiナノワイヤトランジスタのチャネル内電位の測定","第56回応用物理学関係連合講演会","第56回応用物理学関係連合講演会予稿集","応用物理学会",,"No. 2","pp. 901",2009,Mar. "幸田みゆき,梅澤直人,角嶋邦之,パールハットアヘメト,白石賢二,知京豊裕,山田啓作,岩井洋,服部健雄","低電子揺動Ce酸化物を利用したhigh?k膜中の固定電荷の抑制","応用物理学会 薄膜・表面物理分科会/シリコンテクノロジー分科会共催特別研究会 ゲートスタック研究会?材料・プロセス・評価の物理?(第14回研究会)","ゲートスタック研究会?材料・プロセス・評価の物理?(第14回研究会)","ゲートスタック研究会",,,"pp. 21",2009,Jan. "Hei Wong,Kenji Shiraishi,Kuniyuki KAKUSHIMA,HIROSHI IWAI","High-K Gate Dielectrics",,"Electronic Device Architectures for the Nano-CMOS Era","Pan Stanford Publishing Pte. Ltd.",,,"pp. 105-140",2009, "Tomotsune Koyanagi,Kiichi Tachi,Koichi Okamoto,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Electrical Characterization of La2O3-Gated Metal Oxide Semiconductor Field Effect Transistor with Mg Incorporation",,"Japanese Journal of Applied Physics",,"Vol. 48",,,2009, "B Sen,H Wong,B.L. Yang,P.K. Chu,Kuniyuki KAKUSHIMA,HIROSHI IWAI","Effects of nitrogen incorporation into lanthana film by plasma immersion ion implantation",,"Solid-State Electronics",,"Vol. 53",,"pp. 355-358",2009, "Kuniyuki KAKUSHIMA,Kiichi Tachi,Jaeyeol Song,Soshi Sato,Hiroshi Nohira,E. Ikenaga,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Comprehensive x-ray photoelectron spectroscopy study on compositional gradient lanthanum silicate film",,"JOURNAL OF APPLIED PHYSICS","[ 145] K. Kakushima, K. Tachi K, J. Song, S. Sato, H. Nohira, E. Ikenaga, P. Ahmet, K.Tsutsui, N. Sugii, T.Hattori, H. Iwai, “Comprehensive x-ray photoelectron spectroscopy study on compositional gradient lanthanum silicate film”, JOURNAL OF APPLIED PHYSICS, Vol.106, 2009","Vol. 106",,,2009, "H. Nakayama,K. Kakushima,P. Ahmet,K. Tsutsui,N. Sugii,T. Hattori,H. Iwai","Electrical Characteristics of La2O3 Gated MOS Capacitors with Different Wafer Orientation","216th ECS Meeting",,,,,,2009, "S.-L. Siu,H. Wong,W.-S. Tam,Kuniyuki KAKUSHIMA,HIROSHI IWAI","Subthreshold parameters of radio-frequency multi-finger nanometer MOS transistors",,"Microelectronics Reliability",,"Vol. 49",,"pp. 387391",2009,