"T. Nakayama,Kuniyuki KAKUSHIMA,O. Nakatsuka,Y. Machida,S. Sotome,T. Matsuki,Kenji Ohmori,HIROSHI IWAI,S. Zaima,知京豊裕,Kenji Shiraishi,Keisaku Yamada","Theory of Workfunction Control of Silicides by Doping for Future Si-Nano-Devices based on Fundamental Physics of Why Silicides Exist in Nature","2010 IEDM",,,,,,2010,Dec. "KAZUO TSUTSUI,Masaoki Tanaka,Norifumi Hoshino,Hiroshi Nohira,Kuniyuki KAKUSHIMA,Ahmet Parhat,佐々木雄一朗,Bunji Mizuno,T. Muro,T. Kinoshita,takeo hattori,HIROSHI IWAI","Soft X-ray Photoelectron Spectroscopy Study of Activation and Deactivation of Impurities in Shallow Junctions","ICSICT(International Conference on Solid-State and Integrated Circuit Technology)2010",,,,,,2010,Nov. "unknown unknown,Kuniyuki KAKUSHIMA,Ahmet Parhat,M. Geni,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","The Effect of Isotropic and Anisotropic Scattering in Drain Region of Ballistic Channel Diode","ICSICT(International Conference on Solid-State and Integrated Circuit Technology)2010",,,,,,2010,Nov. "Ahmet Parhat,来山大祐,金田 翼,鈴木 拓也,Tomotsune Koyanagi,Miyuki Kouda,M. Mamatrishat,Takamasa Kawanago,Kuniyuki KAKUSHIMA,HIROSHI IWAI","Scaling of EOT Beyond 0.5nm","ICSICT(International Conference on Solid-State and Integrated Circuit Technology)2010",,,,,,2010,Nov. "Kenji Ozawa,Miyuki Kouda,Y. Urabe,T. Yasuda,Kuniyuki KAKUSHIMA,Ahmet Parhat,HIROSHI IWAI","La2O3 insulators prepared by ALD using La(iPrCp)3 source: self-limiting growth conditions and electrical properties","ICSICT(International Conference on Solid-State and Integrated Circuit Technology)2010",,,,,,2010,Nov. "Naoto Shigemori,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Suppression of Lateral Encroachment of Ni Silicide into Si Nanowires using Nitrogen Incorporation","tECS 218th Meeting",,,,,,2010,Oct. "来山大祐,Tomotsune Koyanagi,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","TiN Capping Effect on High Temperature Annealed RE-Oxide MOS Capacitors for Scaled EOT","ECS 218th Meeting",,,,,,2010,Oct. "Y. Wu,Naoto Shigemori,Soshi Sato,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,西山彰,KENJI NATORI,takeo hattori,HIROSHI IWAI","Observation of Tunneling FET operation in MOSFET with NiSi/Si Schottky source/channel interface","ECS 218th Meeting",,,,,,2010,Oct. "Kuniyuki KAKUSHIMA,Koichi Okamoto,Tomotsune Koyanagi,Miyuki Kouda,Kiichi Tachi,Takamasa Kawanago,Jaeyeol Song,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Selection of rare earth silicates for highly scaled gate dielectrics",,"Microelectronic Engineering",,"Vol. 87","No. 10","pp. 1868-1871",2010,Oct. "D. Kitayama,T. Koyanagi,K. Kakushima,P. Ahmet,K. Tsutsui,A. Nishiyama,N. Sugii,K. Natori,T. Hattori,H. Iwai","TiN Capping Effect on High Temperature Annealed RE-Oxide Devices for Scaled EOT","218th ECS Meeting",,,,,,2010,Oct. "Hiroshi Shimomura,Kuniyuki KAKUSHIMA,HIROSHI IWAI","Equivalent Noise Temperature Representation for Scaled MOSFETs",,"IEICE TRANSACTIONS on Electronics",,"Vol. E93-C","No. 10","pp. 1550-1552",2010,Oct. "Tomotsune Koyanagi,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Impact of Alkali-Earth-Elements Incorporation on Vfb R0ll-Off Characteristics of La2O3 Gated MOS Device","ECS 218th Meeting",,,,,,2010,Oct. "M.Bera,Ahmet Parhat,Kuniyuki KAKUSHIMA,KAZUO TSUTSUI,Nobuyuki Sugii,西山彰,takeo hattori,HIROSHI IWAI","Electrical Properties of Yttrium-Titanium Oxide High-k Gate Dielectric on Ge","ECS 218th Meeting",,,,,,2010,Oct. "M. Mamatrishat,Miyuki Kouda,Takamasa Kawanago,Kuniyuki KAKUSHIMA,Ahmet Parhat,A. Aierken,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,HIROSHI IWAI","Effect of Remote-Surface ?Roughness Scattering on Electron Mobility in MOSFETs with High-k Dielectrics","ECS 218th Meeting",,,,,,2010,Oct. "金田翼,幸田みゆき,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Tm-oxide/La2O3構造ゲート絶縁膜の界面特性評価","第71回応用物理学会学術講演会",,,,,,2010,Sept. "山下 晃司,角嶋邦之,岩井洋","HfO2/La2O3/In0.53Ga0.47As構造の熱安定性","第71回応用物理学会学術講演会",,,,,,2010,Sept. "中島 一裕,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","チャージポンピング法による立体Si構造の界面準位密度の評価","第71回応用物理学会学術講演会",,,,,,2010,Sept. "小山 将央,茂森直登,佐藤創志,角嶋邦之,パールハットアヘメト,西山彰,筒井一生,杉井信之,名取研二,服部健雄,岩井洋","窒素導入によるSiナノワイヤ内へのNiシリサイド侵入抑制機構の検討","第71回応用物理学会学術講演会",,,,,,2010,Sept. "李映勲,角嶋邦之,白石賢二,名取研二,岩井洋","バリスティックナノワイヤトランジスタ性能の太さ依存における状態密度と静電容量のトレードオフ","第71回応用物理学会学術講演会",,,,,,2010,Sept. "マイマイティ マイマイティレャアティ,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","High-kゲートスタックMOSFETにおける電子移動度のリモート界面ラフネス散乱依存性","第71回応用物理学会学術講演会",,,,,,2010,Sept. "鈴木 拓也,川那子高暢,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","希釈酸素雰囲気熱処理を用いたLaシリケート/Si MOS構造のVFB/Vthシフトの低EOTへの適用","第71回応用物理学会学術講演会",,,,,,2010,Sept. "来山大祐,小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","高温短時間熱処理を用いた希土類MOSキャパシタへのTiNキャップ効果","第71回応用物理学会学術講演会",,,,,,2010,Sept. "田中正興,金原潤,宮田陽平,角嶋邦之,パールハットアヘメト,室隆桂之,木下豊彦,野平博司,筒井一生,室田 淳一,服部健雄,岩井洋","Siエピタキシャル層にドープされたボロンの軟X線光電子分光","第71回応用物理学会学術講演会",,,,,,2010,Sept. "竇 春萌,マイマイティ マイマイティレャアティ,ダリューシュザデ,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","希土類(Ce,Eu)酸化物MIM構造の抵抗スイッチング特性","第71回応用物理学会学術講演会",,,,,,2010,Sept. "呉研,茂森直登,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","トンネルFET動作に向けたNiシリサイド/Si接触におけるトンネル電流の観測","第71回応用物理学会学術講演会",,,,,,2010,Sept. "細井隆司,神田高志,ダリューシュザデ,Yueh Chin Lin,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,Edward Yi Chang,名取研二,服部健雄,岩井洋","絶縁膜材料を用いたIn0.53Ga0.47As MOSキャパシタの電気特性","第71回応用物理学会学術講演会",,,,,,2010,Sept. "小澤健児,幸田みゆき,角嶋邦之,パールハットアヘメト,岩井洋,ト部友二,安田哲二","La(iPrCp)3 を原料としたLa2O3のALD: Self-limiting 成長条件の明確化","第71回応用物理学会学術講演会",,,,,,2010,Sept. "幸田みゆき,小澤健児,角嶋邦之,パールハットアヘメト,岩井洋,ト部 友二,安田 哲二","CVD法によるCeOx絶縁膜の作製と特性評価","第71回応用物理学会学術講演会",,,,,,2010,Sept. "Soshi Sato,Yeonghun Lee,Kuniyuki KAKUSHIMA,Ahmet Parhat,Kenji Ohmori,KENJI NATORI,Keisaku Yamada,HIROSHI IWAI","Gate Semi-Around Si Nanowire FET Fabricated by Conventional CMOS Process with Very High Drivability","ESSDERC 2010, 40th European Solid-State Device Research Conference",,,,,,2010,Sept. "Takamasa Kawanago,Yeonghun Lee,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Optimized Oxygen Annealing Process for Vth Tuning of p-MOSFET with High-k/Metal Gate Stacks","ESSDERC 2010, 40th European Solid-State Device Research Conference",,,,,,2010,Sept. "角嶋邦之,小柳友常,来山大祐,幸田みゆき,宋在烈,佐藤創志,川那子高暢,M. マイマイティ,舘喜一,M.K. Bera,パールハットアヘメト,野平博司,筒井一生,西山彰,杉井信之,名取研二,服部健雄,山田啓作,岩井洋","LaCe シリケート膜を用いたEOT<0.7nm の直接接合 high-k/Si の実現とフラットバンド電圧制御","応用物理学会分科会 シリコンテクノロジー",",野平博司,筒井一生,西山彰,杉井信之,名取研二,服部健雄,山田啓作,岩井洋“LaCe シリケート膜を用いたEOT<0.7nm の直接接合 high-k/Si の実現とフラットバンド電圧制御” 応用物理学会分科会 シリコンテクノロジー No.127 pp.4-8(2010年7月22日 )",,,"No. 127","pp. 4-8",2010,July "佐藤創志,角嶋邦之,パールハットアヘメト,大毛利健二,名取研二,岩井洋,山田啓作","キャリア移動度評価によるシリコンナノワイヤトランジスタの電気特性解析",,"電子情報通信学会技術研究報告 pp.11-16",,,,,2010,June "茂森直登,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","SiナノワイヤへのNiシリサイド形成と過剰な侵入とその抑制に関する検討",,"電子情報通信学会技術研究報告 pp.17-22",,,,,2010,June "Kuniyuki KAKUSHIMA,Kiichi Tachi,M.Adachi,Koichi Okamoto,Soshi Sato,Jaeyeol Song,Takamasa Kawanago,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Interface and electrical properties of La-silicate for direct contact of high-k with silicon",,"Solid-State Electronics",,"Vol. 54",,"pp. 715-719",2010,June "Yeonghun Lee,KENJI NATORI,HIROSHI IWAI,Kuniyuki KAKUSHIMA,Kenji Shiraishi","Size-Dependent Properties of Ballistic Silicon Nanowire Field Effect Transistors",,"Journal of Applied Physics",,"Vol. 107","No. 11","pp. 113705",2010,June "Kuniyuki KAKUSHIMA,Tomotsune Koyanagi,来山大祐,Miyuki Kouda,Jaeyeol Song,Takamasa Kawanago,M. Mamatrishat,Kiichi Tachi,M. K. Bera,Ahmet Parhat,Hiroshi Nohira,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,Keisaku Yamada,HIROSHI IWAI","Direct Contact of High-k/Si Gate Stack for EOT below 0.7 nm using LaCe-silicate Layer with Vfb controllability","2010 Symposium on VLSI Technology",,,,,,2010,June "来山大祐,小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","EOT=0.5nmに向けた希土類MOSデバイスの高温短時間熱処理の検討",,"電子情報通信学会技術研究報告 pp.43-48",,,,,2010,June "KAZUO TSUTSUI,Norifumi Hoshino,Yasumasa Nakagawa,Masaoki Tanaka,Hiroshi Nohira,Kuniyuki KAKUSHIMA,Ahmet Parhat,佐々木雄一朗,Bunji Mizuno,takeo hattori,HIROSHI IWAI","Depth Profiling of Chemical Bonding States of Impurity Atoms and Their Correlation with Electrical Activity in Si Shallow Junctions","IEEE IWJT 2010 Extended Abstracts 2010 International Workshop on Junction Technology",,,,,,2010,May "Ahmet Parhat,Wataru Hosoda,unknown unknown,Yoshihisa Ohishi,Kuniyuki KAKUSHIMA,KAZUO TSUTSUI,HIROSHI IWAI","Er Inserted Ni Silicide Metal Source/Drain for Schottky MOSFETs","IEEE IWJT 2010 Extended Abstracts 2010 International Workshop on Junction Technology",,,,,,2010,May "M.K. Bera,Jaeyeol Song,Ahmet Parhat,Kuniyuki KAKUSHIMA,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Yttrium-scandium oxide as high-k gate dielectric for germanium metal-oxide-semiconductor devices",,"SEMICONDUCTOR SCIENCE AND TECHNOLOGY",,"Vol. 25","No. 6"," 065008",2010,May "M.K.Bera,Jaeyeol Song,Ahmet Parhat,Kuniyuki KAKUSHIMA,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Yttrium-scandium oxide as high-k gate dielectric for germanium metal-oxide-semiconductor devices",,"SEMICONDUCTOR SCIENCE AND TECHNOLOGY",,"Vol. 25","No. 6"," 065008",2010,May "Hiroshi Shimomura,Kuniyuki KAKUSHIMA,HIROSHI IWAI","Effect of High Frequency Noise Current Sources on Noise Figure for Sub-50 nm Node MOSFETs",,"IEICE TRANSACTIONS on Electronics",,"Vol. E93-C","No. 5","pp. 678-684",2010,May "Ahmet Parhat,Kuniyuki KAKUSHIMA,HIROSHI IWAI","Towards the Ultimate Scaling of MOSFET Gate Dielectrics - Direct Contact of High-k and Silicon","ECS 217th",,,,,,2010,Apr. "Ahmet Parhat,Kuniyuki KAKUSHIMA,HIROSHI IWAI","Towards the Ultimate Scaling of MOSFET Gate Dielectrics - Direct Contact of High-k and Silicon-","ECS 217th",,,,,,2010,Apr. "佐藤創志,新井英朗,角嶋邦之,パールハットアヘメト,大毛利 健治,名取研二,岩井洋,山田啓作","Siナノワイヤトランジスタの電気特性の断面形状依存症","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-270",2010,Apr. "小澤健児,幸田みゆき,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","La2O3 MOSFETへのCeOxキャップによる電気特性の改善","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-096",2010,Mar. "来山 大祐,小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","来山大祐,小柳友常,角嶋邦之,パールハット アヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋“EOT=0.5nm に向けたTaSi2/La2O3/CeOxゲートスタック構造の検討","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-094",2010,Mar. "AbudukelimuAbudureheman,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,HIROSHI IWAI,takeo hattori,KENJI NATORI","Performance of Silicon Ballistic Nanowire MOSFET with Diverse Orientations and Diameters","China Semiconductor Technology International Conference",,,,,"pp. 1111-1116",2010,Mar. "Wataru Hosoda,Kenji Ozawa,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Fabrication of SB-MOSFETs on SOI Substrate Using Ni Silicide Containing Er Interlayer","China Semiconductor Technology International Conference",,,,,"pp. 1105-1110",2010,Mar. "Katuya Matano,Kiyohisa Funamizu,Miyuki Kouda,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,西山彰,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric","China Semiconductor Technology International Conference",,"[",,,"pp. 1129-1134",2010,Mar. "Kuniyuki KAKUSHIMA,Koichi Okamoto,Tomotsune Koyanagi,Miyuki Kouda,Kiichi Tachi,Takamasa Kawanago,Jaeyeol Song,Ahmet Parhat,Hiroshi Nohira,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","SrO capping effect for La2O3/ Ce-Silicate gate dielectrics",,"Microelectronics Reliability 50",,,,"pp. 356-359",2010,Mar. "Yusuke Kobayashi,Kuniyuki KAKUSHIMA,Ahmet Parhat,V.Rampogal Rao,KAZUO TSUTSUI,HIROSHI IWAI","Analysis of dependence of short-channel effects in double-gate MOSFETs on channel thickness",,"Microelectronics Reliability 50",,,,"pp. 332-337",2010,Mar. "李映勲,角嶋邦之,白石賢二,名取研二,岩井洋","バリスティックSiナノワイヤトランジスタの電気特性の直径依存性","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-272",2010,Mar. "茂森直登,新井英朗,佐藤創志,角嶋邦之,パールハットアヘメト,西山彰,筒井一生,杉井信之,名取研二,服部健雄,岩井洋","酸化膜中のSiナノワイヤへのNi拡散の制御","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-269",2010,Mar. "田中正興,星野憲文,筒井一生,野平博司,室隆桂之,加藤有香子,木下豊彦,パールハットアヘメト,角嶋邦之,服部健雄,岩井洋","光電子分光により検出したSi中のAsおよびPの化学結合状態の評価","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-191",2010,Mar. "川那子高暢,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","酸素添加がWゲートMOSデバイスの電気特性に与える影響","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-151",2010,Mar. "神田高志,船水清永,Yueh Chin Lin,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,Edward Yi Chang,名取研二,服部健雄,岩井洋","HfO2/ La2O3/ In0.53 Ga0.47As構造の界面特性の変化","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-141",2010,Mar. "小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","La2O3 MOSデバイスへのアルカリ土類元素キャップによる電気特性の変化","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-098",2010,Mar. "幸田みゆき,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","希土類酸化物をキャップすることによるMOSFETの電気特性の改善","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-097",2010,Mar. "ダリューシュ ザデ,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","界面にLa2O3 絶縁膜層を挿入したHf系high-kゲートMOSFETの評価","第57回応用物理学関係連合講演会","第57回応用物理学関係連合講演会講演予稿集",,,,"pp. 13-095",2010,Mar. "Kuniyuki KAKUSHIMA,Tomotsune Koyanagi,Kiichi Tachi,Jaeyeol Song,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Characterization of flatband voltage roll-off and roll-up behavior in La2O3/silicate gate dielectric",,"Solid-State Electronics",,"Vol. 54",,"pp. 720-723",2010, "Kuniyuki KAKUSHIMA,M. Nakagawa,Ahmet Parhat,KAZUO TSUTSUI,Nobuyuki Sugii,takeo hattori,HIROSHI IWAI","Radio-frequency performance of a sub-100 nm metal-oxide field-effect transistor with high-k gate dielectric",,"Semiconductor Science and Technology",,"Vol. 25","No. 4"," 045029",2010, "マイマイティ マイマイティレャアティ,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,岩井洋","Remote Coulomb and roughness scatterings in gate oxide scaling","複合創造領域シンポジウム",,,,,,2010, "金田翼,幸田みゆき,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Effect of Rare Earth Oxide Capping for La-based Gate Oxides","複合創造領域シンポジウム",,,,,,2010, "小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Flatband Voltage Shift of La-based Gate Oxides with Alkali-earth-elements Incorporation","複合創造領域シンポジウム",,,,,,2010, "川那子高暢,鈴木 拓也,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","An Effective Process for Oxygen Defects Suppression for La-based Oxide Gate Dielectric","複合創造領域シンポジウム",,,,,,2010, "茂森直登,佐藤創志,角嶋邦之,パールハットアヘメト,西山彰,筒井一生,杉井信之,名取研二,服部健雄,岩井洋","Suppression of Lateral Encroachment of Ni Silicide into Si Nanowires using Nitrogen Incorporation","複合創造領域シンポジウム",,,,,,2010, "小山将央,茂森直登,新井英朗,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Lateral encroachment of Ni silicide into Si nanowire","複合創造領域シンポジウム",,,,,,2010, "中島一裕,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Interface state density of 3-D structured Si using charge pumping method","複合創造領域シンポジウム",,,,,,2010, "AbudukelimuAbudureheman,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","The Effect of Scattering in Drain Region of Ballistic Channel Diode","複合創造領域シンポジウム",,,,,,2010, "李映勲,角嶋邦之,名取研二,岩井洋","Diameter-dependent injection velocity of ballistic Si nanowire MOSFETs","複合創造領域シンポジウム",,,,,,2010, "佐藤創志,角嶋邦之,パールハットアヘメト,大毛利健治,山田啓作,名取研二,岩井洋","Influence of the cross-sectional shape for Si nanowire FETs","複合創造領域シンポジウム",,,,,,2010, "舘喜一,角嶋邦之,T. Ernst,S. Cristoloveanu,岩井洋","Vertically-Stacked Nanowire Transistors for future CMOS","複合創造領域シンポジウム",,,,,,2010, "山下晃司,野平博司,角嶋邦之,岩井洋","HfO2/La2O3/In0.53Ga0.47As構造の熱安定性","第71回応用物理学会学術講演会",,,,,,2010, "Yeonghun Lee,Kuniyuki KAKUSHIMA,Kenji Shiraishi,KENJI NATORI,HIROSHI IWAI","Trade-off between density of states and gate capacitance in size-dependent injection velocity of ballistic n-channel silicon nanowire transistors",,"Applied Physics Letters97, 1, ????,2010",," 97"," 1",,2010, "呉研,茂森直登,佐藤創志,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Observation of Tunneling FET operation in MOSFET with NiSi/Si Schottky source/channel interface","複合創造領域シンポジウム",,,,,,2010, "H. Wong,HIROSHI IWAI,Kuniyuki KAKUSHIMA,B.L. Yang,P.K. Chu","XPS Study of the Bonding Properties of Lanthanum Oxide/Silicon Interface with a Trace Amount of Nitrogen Incorporation",,"Journal of Electrochemical Society",,,," G49-G51",2010, "竇春萌,向井弘樹,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Feasibility study of Ce oxide for resistive RAM application","複合創造領域シンポジウム",,,,,,2010, "ダリューシュザデ,神田高志,細井隆司,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Towards High Performance III-V MOSFET, A Study on high-k Gate Stacks on In0.53Ga0.47As","複合創造領域シンポジウム",,,,,,2010, "Yeonghun Lee,Kuniyuki KAKUSHIMA,Kenji Shiraishi,KENJI NATORI,HIROSHI IWAI","Trade-off between density of states and gate capacitance in size-dependent injection velocity of ballistic n-channel silicon nanowire transistors",,"Applied Physics Letters97, 1",,,,,2010, "小澤健児,幸田みゆき,角嶋邦之,パールハットアヘメト,岩井洋,ト部友二,安田哲二","Self-limited growth of La oxides with ALD","複合創造領域シンポジウム",,,,,,2010, "幸田みゆき,小澤健児,角嶋邦之,パールハットアヘメト,岩井洋,ト部友二,安田哲二","Electrical characterization of CVD deposited Ce oxides","複合創造領域シンポジウム",,,,,,2010, "久保田透,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Spectroscopic analysis of interface state density in high-k/Si structure","複合創造領域シンポジウム",,,,,,2010, "来山大祐,小柳友常,角嶋邦之,パールハットアヘメト,筒井一生,西山彰,杉井信之,名取研二,服部健雄,岩井洋","Process Optimization of Rare-Earth Oxides Gated MOS Devices for Future EOT Scaling","複合創造領域シンポジウム",,,,,,2010,