"H Wong,B.L. Yang,S. Dong,HIROSHI IWAI,Kuniyuki KAKUSHIMA,Ahmet Parhat","Current conduction and stability of CeO2/La2O3 stacked gate dielectric",,"APPLIED PHYSICS LETTERS",,"Vol. 101",," 233507",2012,Dec. "Miyuki Kouda,—ι–Ψ ‘ρ–η,Kuniyuki KAKUSHIMA,Ahmet Parhat,HIROSHI IWAI,ˆΐ“c“N“ρ","Electrical Properties of CeO2/La2O3 Stacked Gate Dielectrics Fabricated by Chemical Vapor Deposition and Atomic Layer Deposition",,"Japanese Journal of Applied Physics",,"Vol. 51",,"pp. 121101-1-121101-5,",2012,Dec. "Y. Tanaka,K. Kakushima,P. Ahmet,Y. Kataoka,A. Nishiyama,N. Sugii,K. Tsutsui,K. Natori,T. Hattori,S. Yamasaki,H. Iwai","TiC Electrode Formed by Multi-Stacking Process for Diamond Contact Metal","PRiME 2012",,,,,,2012,Oct. "T. Kamale,R. Tan,K. Kakushima,P. Ahmet,Y. Kataoka,A. Nishiyama,N. Sugii,K. Tsutsui,K. Natori,T. Hattori,H. Iwai","Interface Properties La-Silicate MOS Capacitors with Tungsten Carbide Gate Electrode for Scaled EOT","PRiME 2012",,,,,,2012,Oct. "Tadashi Ishida,Kuniyuki KAKUSHIMA,Teruyasu Mizoguchi,Hiroyuki Fujita","Role of dislocation movement in the electrical conductance of nanocontacts",,"Scientific Reports","Nature publishing group","Vol. 2",,,2012,Sept. "B.L. Yang,H Wong,Kuniyuki KAKUSHIMA,HIROSHI IWAI","Improving the electrical characteristics of MOS transistors with CeO2/ La2O3 stacked gate dielectric",,"Microelectronics Realiability",,"Vol. 52",,"pp. 1613-1616",2012,Aug. "Takamasa Kawanago,Yeonghun Lee,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,ΌŽR²,Nobuyuki Sugii,Kenji Natori,takeo hattori,HIROSHI IWAI","Experimental study of electron mobility characterization in direct contact La-silicate/Si structure based nMOSFETs",,"Solid-State Electronics",,"Vol. 74",,"pp. 2-6",2012,Aug. "S.-L. Siu,W.-S. Tam,H Wong,C.-W. Kok,Kuniyuki KAKUSHIMA,HIROSHI IWAI","Influence of multi-finger layout on the subthreshold behavior of nanometer MOS transistors",,"Microelectronics Realiability",,"Vol. 52",,"pp. 1606-1609",2012,Aug. "ƒ}ƒCƒ}ƒCƒeƒB ƒ}ƒCƒ}ƒCƒeƒBƒŒƒƒƒAƒeƒB,‹v•Ϋ“c“§,ŠΦ‘ρ–η,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,•Π‰ͺD‘₯,ΌŽR²,Nobuyuki Sugii,Kenji Natori,takeo hattori,HIROSHI IWAI","Oxide and interface trap densities estimation in ultrathin W/ La2O3/Si MOS capacitors",,"Microelectronics Reliability",,"Vol. 52","No. 6","pp. 1039-1042",2012,June "unknown unknown,W. Yasenjiang,Kuniyuki KAKUSHIMA,Ahmet Parhat,M. Geni,Kenji Natori,HIROSHI IWAI","Influence of strained drain on performance of ballistic channel devices",,"Semiconductor Science and Technology",,"Vol. 27","No. 5","pp. 055001-1-5",2012,May "Youhei Miyata,Jun Kanehara,Hiroshi Nohira,Yudai Izumi,Takayuki Muro,Toyohiko Kinoshita,Parhat Ahmet,Kuniyuki Kakushima,Kazuo Tsutsui,Takeo Hattori1,Hiroshi Iwai","Analysis of Chemical Bonding States of Boron Doped in Si Fin Structures: Selective Observation on Top Surfaces and Sidewalls","12th Int. Workshop on Junction Technology (IWJT2012)",,,,,,2012,May "ƒ}ƒCƒ}ƒCƒeƒB ƒ}ƒCƒ}ƒCƒeƒBƒŒƒƒƒAƒeƒB,Miyuki Kouda,Kuniyuki KAKUSHIMA,Hiroshi Nohira,Ahmet Parhat,•Π‰ͺD‘₯,ΌŽR²,KAZUO TSUTSUI,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Valance number transition and silicate formation of cerrium oxide on Si(100)",,"Vacuum",,"Vol. 86","No. 10","pp. 1513-1516",2012,Apr. "W. Feng,R. Hettiarachchi,Soshi Sato,Kuniyuki KAKUSHIMA,M. Niwa,HIROSHI IWAI,Keisaku Yamada,Kenji Ohmori","Advantages of Silicon Nanowire Metal-Oxide-Semiconductor Field-Effect Transistors over Planar Ones in Noise Properties",,"Japanese Journal of Applied Physics",,"Vol. 51",,"pp. 04DC06-1-04DC06-5",2012,Apr. "C. Dou,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,ΌŽR²,Nobuyuki Sugii,Kenji Natori,takeo hattori,HIROSHI IWAI","Resistive switching behavior of a CeO2 based ReRAM cell incorporated with Si buffer layer",,"Microelectronics Reliability",,"Vol. 32","No. 4","pp. 688-691",2012,Apr. "Yeonghun Lee,Kuniyuki KAKUSHIMA,Kenji Natori,HIROSHI IWAI","Gate Capacitance Modeling and Diamater-Drpendent Performance of Nanowire MOSFETs",,"IEEE Transactions on Electron Deviices",,"Vol. 59","No. 4","pp. 1037-1045",2012,Apr. "S. Kano,C. Dou,M. Hadi,K. Kakushima,P. Ahmet,A. Nishiyama,N. Sugii,K. Tsutsui,Y. Kataoka,K. Natori,E. Miranda,T. Hattori,H. Iwai","Influence of Electrode Material for CaOx Based Resistive Switching","China Semiconductor Technology International Conference (CSTIC)",,,,,,2012,Mar. "ƒ}ƒCƒ}ƒCƒeƒB ƒ}ƒCƒ}ƒCƒeƒBƒŒƒƒƒAƒeƒB,Miyuki Kouda,Takamasa Kawanago,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,•Π‰ͺ D‘₯,ΌŽR²,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","The effect of remote Coulomb scattering on electron mobility in La2O3 gate stacked MOSFETs",,"Semiconductor Science and Technology",,"Vol. 27","No. 4",,2012,Mar. "Takamasa Kawanago,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,ΌŽR²,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Covalent Nature in La-Silicate Gate Dielectrics for Oxygen Vacancy Removal2",,"IEEE ELECTRON DEVICE LETTERS",,"Vol. 33","No. 3","pp. 423-425",2012,Mar. "H.D. Trinh,Yueh-Chin Lin,H.C. Wang,C.H. Chang,Kuniyuki KAKUSHIMA,HIROSHI IWAI,Takamasa Kawanago,Y.G. Lin,C.M. Chen,Y.Y.Wong,G.N. Huang,M. Hudait,E.Y. Chang","Effect of Postdeposition, Annealing Temperatures on Electrical Characteristics of Molecular-Beam-Deposited HfO2 on n-InAs/InGaAs Metal-Oxide-Semiconductor Capacitors",,"Applied Physics Express",,"Vol. 5","No. 2","pp. .021104-1-3",2012,Feb. "H Wong,B.L. Yang,Kuniyuki KAKUSHIMA,Ahmet Parhat,HIROSHI IWAI","Effects of aluminum doping on lanthanum oxide gate dielectric films",,"Vacuum",,"Vol. 86","No. 7","pp. 929-932",2012,Feb. "H Wong,B.L. Yang,Kuniyuki KAKUSHIMA,Ahmet Parhat,HIROSHI IWAI","Properties of CeOx/La2O3 gate dielectric and its effects on the MOS transistor characteristics",,"Vacuum",,"Vol. 86","No. 7","pp. 990-993",2012,Feb. "Takamasa Kawanago,—ι–Ψ ‘ρ–η,Yeonghun Lee,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,ΌŽR²,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","Compensation of oxygen defects in La-silicate gate dielectrics for improving effective mobility in high-k/metal gate MOSFET using oxygen annealing process",,"Solid-State Electronics",,"Vol. 68",,"pp. .68-72",2012,Feb. "Takamasa Kawanago,Yeonghun Lee,Kuniyuki KAKUSHIMA,Ahmet Parhat,KAZUO TSUTSUI,ΌŽR²,Nobuyuki Sugii,KENJI NATORI,takeo hattori,HIROSHI IWAI","EOT of 0.62 nm and High Electron Mobility in La-silicate/Si Structure Based nMOSFETs Achieved by Utilizing Metal-Inserted Poly-Si Stacks and Annealing at High Temperature",,"IEEE Transactions on Electron Devices",,"Vol. 59","No. 2","pp. 269-276",2012,Feb. "Kana Tsuneishi,Miyuki Kouda,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Electrical properties of Tm2O3 gate dielectric and its scaling issues","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Kana Tsuneishi,Miyuki Kouda,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Electrical properties of Tm2O3 gate dielectric and its scaling issues","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Michihiro Hosoda,Yeonghun Lee,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,KAZUO TSUTSUI,Akira Nishiyama,Nobuyuki Sugii,Kenji Natori,HIROSHI IWAI","Size-dependent phonon-limited electron mobility in Si Nanowire MOSFETs","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Kazuki Matsumoto,¬ŽR«‰›,Y. Wu,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,KAZUO TSUTSUI,Akira Nishiyama,Nobuyuki Sugii,Kenji Natori,takeo hattori,HIROSHI IWAI","Ni silicidation for Si Fin and nanowire strucures","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Kazuki Matsumoto,¬ŽR«‰›,Y. Wu,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,KAZUO TSUTSUI,Akira Nishiyama,Nobuyuki Sugii,Kenji Natori,takeo hattori,HIROSHI IWAI","Ni silicidation for Si Fin and nanowire strucures","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "“c’†—SŽχ,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Y. Tanaka, K. Kakushima, P. Ahmet, A. Nishiyama, N. Sugii, K. Tsutsui, K. Natori, T. Hattori, H. Iwai, gImpact of annealing on structural change in amorphous carbon: effect of Fe catalyst","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Yuta Tamura,‹gŒ΄—Ί,Kuniyuki KAKUSHIMA,HIROSHI IWAI","A novel Ni silicidation technology for Schottky diode formation","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "shinichi kano,⅏t–G,unknown unknown,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Impact of metal electrode material on resistive swirching properties of Ce oxides","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "—ι–Ψ ‘ρ–η,Miyuki Kouda,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,HIROSHI IWAI,ˆΐ“c“N“ρ","Formation and electrical characterization of MgO - incorporated La2O3 gate insulators by ALD","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Ryuji Hosoi,Yuya Suzuki,DARYOUSH ZADEH,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","A novel interpretation of frequency dispersed capacitances in InGaAs capacitor by conductance method","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "’†“‡ˆκ—T,Wei Li,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,KAZUO TSUTSUI,Akira Nishiyama,Nobuyuki Sugii,Kenji Natori,takeo hattori,HIROSHI IWAI","Interface state density measurements of 3D silicon channel by charge pumping method","[550] K. Nakajima, W. Li, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai, gInterface state density measurements of 3D silicon channel by charge pumping methodh, IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Daisuke Kitayama,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Effect of Silicate Formation at Metal Gate/High-k Interface on Electrical Characteristics of La2O3 gated MOS Devices","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "K. Tuokedaerhan,Tasuku Kaneda,ƒ}ƒCƒ}ƒCƒeƒB ƒ}ƒCƒ}ƒCƒeƒBƒŒƒƒƒAƒeƒB,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,KAZUO TSUTSUI,Akira Nishiyama,Nobuyuki Sugii,Kenji Natori,takeo hattori,HIROSHI IWAI","Impact of Annealing Ambient for La2O3/Si Capacitor","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Wei Li,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Interface state density measurements of 3D silicon channel by conductance method","Interface state density measurements of 3D silicon channel by conductance method",,,,,,2012, "Y. Wu,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","An analytical model of a tunnel FET with Schottky junction","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "⅏t–G,Soshi Sato,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,KAZUO TSUTSUI,ΌŽR²,Nobuyuki Sugii,Kenji Natori,HIROSHI IWAI,takeo hattori","Si nanowire FET with asymmetric channel","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "⅏t–G,Soshi Sato,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,KAZUO TSUTSUI,ΌŽR²,Nobuyuki Sugii,Kenji Natori,HIROSHI IWAI,takeo hattori","Si nanowire FET with asymmetric channel","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "DARYOUSH ZADEH,Ryuji Hosoi,Yuya Suzuki,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Electrical Characterization and improvement of high-k/InGaAs devices","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Miyuki Kouda,—ι–Ψ ‘ρ–η,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,HIROSHI IWAI","Stack structures of ALD- La2O3 and CVD-CeO2 : fabrication and mobility improvement effects","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Miyuki Kouda,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,HIROSHI IWAI,ˆΐ“c“N“ρ","Comparative study of CeO2 gate dielectrics using chemical vapor deposition and atomic layer deposition","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "unknown unknown,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,KAZUO TSUTSUI,ΌŽR²,Nobuyuki Sugii,Kenji Natori,takeo hattori,HIROSHI IWAI","Influence of Heat Generation within Drain Region on Transport of Hot Electrons","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "ƒ}ƒCƒ}ƒCƒeƒB ƒ}ƒCƒ}ƒCƒeƒBƒŒƒƒƒAƒeƒB,ŠΦ‘ρ–η,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,ΌŽR²,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Evaluation of oxide traps in La based oxides for direct high-k/Si capacitor","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Takamasa Kawanago,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,ΌŽR²,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Nitrogen incorporated La-silicate gate dielectric with high scalability","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Kuniyuki KAKUSHIMA,Jun Kanehara,takeo hattori,KAZUO TSUTSUI,HIROSHI IWAI","Boron depth profile of a plasma immersed substrate by XPS analysis","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "Miranda Enrique,shinichi kano,C. Dou,Kuniyuki KAKUSHIMA,J. Sune,HIROSHI IWAI","Nonlinear conductance quantization effects in CeO/SiO-based resistive switching devices",,"APPLIED PHYSICS LETTERS",,"Vol. 101",," 01291",2012, "Miranda Enrique,Takamasa Kawanago,Kuniyuki KAKUSHIMA,J. Sune,HIROSHI IWAI","Analysis and modeling of the gate leakage current in advanced nMOSFET devices with severe gate?to-drain dielectric breakdown",,"Microelectronics Reliability",,"Vol. 52",,"pp. 1909-1912",2012, "Yeonghun Lee,Kuniyuki KAKUSHIMA,Kenji Natori,HIROSHI IWAI","Corner Effects on Phonon-Limited Mobility in Rectangular Si Nanowire MOSFETs","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012, "T. Kawanago,K. Kakushima,P. Ahmet,Y. Kataoka,A. Nishiyama,N. Sugii,K. Tsutsui,K. Natori,T. Hattori,H. Iwai","(100)- and (110)-Oriented nMOSFETs with Highly Scaled EOT in La-Silicate/Si Interface for Multi-Gate Architecture","42nd European Solid-State Device Research Conference (ESSDERC 2012)",,,,,,2012, "E. Mranda,Takamasa Kawanago,Kuniyuki KAKUSHIMA,J. Sune,HIROSHI IWAI","Analysis and modeling of the gate leakage current in advanced nMOSFET devices with severe gate?to-drain dielectric breakdown","ESREF2012","[588] E. Miranda, T. Kawanago, K. Kakushima, J. Sune, H. Iwai, gAnalysis and modeling of the gate leakage current in advanced nMOSFET devices with severe gate?to-drain dielectric breakdownh, ESREF2012, October, 2012, Cagliari, Italy",,,,,2012, "Kana Tsuneishi,Jiangning Chen,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,•Π‰ͺD‘₯,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Ti silicide electrodes low contact resistance for undoped AlGaN/GaN structure","ECS 222nd Meeting, ECS Transactions, Vol.50, No.3, pp., October 11, 2012,","ECS Transactions",,"Vol. 50","No. 3","pp. 447-450",2012, "Jiangning Chen,Kana Tsuneishi,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,•Π‰ͺD‘₯,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Thickness dependent electrical characteristics of AlGaN/GaN MOSHEMT with La2O3 gate dielectrics","ECS 222nd Meeting","ECS Transactions",,"Vol. 50","No. 3","pp. 353-357",2012, "Jiangning Chen,Kana Tsuneishi,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,•Π‰ͺD‘₯,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Thickness dependent electrical characteristics of AlGaN/GaN MOSHEMT with La2O3 gate dielectrics","ECS 222nd Meeting","ECS Transactions",,"Vol. 50","No. 3","pp. 353-357",2012, "Tohtarhan Kamal,R. Tan,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,•Π‰ͺD‘₯,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Interface Properties of La-silicate MOS Capacitors with Tungsten Carbide Gate Electrode for Scaled EOT","ECS 222nd Meeting","ECS Transactions",,"Vol. 50","No. 4","pp. 281-284",2012, "‹gŒ΄—Ί,Yuta Tamura,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,•Π‰ͺD‘₯,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Thermally stable NiSi2 for Ge contact with Schottky barrirer height modulation capability","ECS 222nd Meeting","ECS Transactions",,"Vol. 50","No. 9","pp. 217-221",2012, "Yuya Suzuki,ƒ_ƒŠƒ…[ƒVƒ…ƒUƒf,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,•Π‰ͺD‘₯,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Effect of In0.53Ga0.47AAs surface nitridation on electrical characteristics of high-k/capacitors","ECS 222nd Meeting","ECS Transactions",,"Vol. 50","No. 4","pp. 145-150",2012, "Yuta Tamura,‹gŒ΄—Ί,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,•Π‰ͺD‘₯,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","A Proposal of Schottky Barrire Height Tuning Method with Interface Controlled Ni/Si Stacked Silicidation Process","ECS 222nd Meeting","ECS Transactions",,,,,2012, "Kuniyuki KAKUSHIMA,Yuta Tamura,‹gŒ΄—Ί,KAZUO TSUTSUI,HIROSHI IWAI","Interface Controlled Stacked Ni Silicidation Process with Schottky Barrier Height Controllability","K. Kakushima, Y. Tamura, R. Yoshihara, K. Tsutsui, H. Iwai",,,,,,2012, "Takamasa Kawanago,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,•Π‰ͺD‘₯,ΌŽR²,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","(100)-and (110)-oriented nMOSFETs with highly Scaled EOT in La-silicate/Si Interface for Multi-gate Architecture","ESSDERC 2012",,,,,,2012, "Takamasa Kawanago,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,•Π‰ͺD‘₯,ΌŽR²,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","(100)-and (110)-oriented nMOSFETs with highly Scaled EOT in La-silicate/Si Interface for Multi-gate Architecture","ESSDERC 2012",,,,,,2012, "ŸNˆδ—uŽq,‘ε–Ρ—˜Œ’Ž‘,Keisaku Yamada,Kuniyuki KAKUSHIMA,T. Tayagaki,HIROSHI IWAI,Y. Kanemitsu,K. Asakawa,Kenji Shiraishi,S. Nomura","Photoluminescence Properties of Si Nanolayers and Si Nanowires","Tsukuba Nanotechnology Symposium 2012(TNSf12)",,,,,,2012, "Youhei Miyata,Jun Kanehara,Hiroshi Nohira,Y. Izumi,T. Muro,–Ψ‰Ί–L•F,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Kuniyuki KAKUSHIMA,KAZUO TSUTSUI,takeo hattori,HIROSHI IWAI","Soft X-ray Photoelectron Spectroscopy Study of Boron Doped on Top Surfaces and Sidewalls of Si Fin Structures","2012 12th International Workshop on Junction Technology(IWJT2012)",,,,,,2012, "Miranda Enrique,shinichi kano,⅏t–G,J. Sune,Kuniyuki KAKUSHIMA,HIROSHI IWAI","New experimental evidences of conductance quantization in electroformed oxide stacks","E-MRS 2012 Spring Meeting",,,,,,2012, "‘ε–Ρ—˜Œ’Ž‘,W. Feng,R. Hettiarachchi,Yeonghun Lee,Soshi Sato,Kuniyuki KAKUSHIMA,M. Sato,K. Fukuda,M. Niwa,K. Yamabe,Kenji Shiraishi,HIROSHI IWAI,Keisaku Yamada","Low-frequency noise reduction in Si Nanowire MOSFETs","ECS 221st Meeting","ECS Transactions",,"Vol. 45","No. 3","pp. 437-442",2012, "‘ε–Ρ—˜Œ’Ž‘,W. Feng,R. Hettiarachchi,Yeonghun Lee,Soshi Sato,Kuniyuki KAKUSHIMA,M. Sato,K. Fukuda,M. Niwa,K. Yamabe,Kenji Shiraishi,HIROSHI IWAI,Keisaku Yamada","Low-frequency noise reduction in Si Nanowire MOSFETs","ECS 221st Meeting","ECS Transactions",,"Vol. 45","No. 3","pp. 437-442",2012, "‘ε–Ρ—˜Œ’Ž‘,W. Feng,R. Hettiarachchi,Yeonghun Lee,Soshi Sato,Kuniyuki KAKUSHIMA,M. Sato,K. Fukuda,M. Niwa,K. Yamabe,Kenji Shiraishi,HIROSHI IWAI,Keisaku Yamada","Low-frequency noise reduction in Si Nanowire MOSFETs","ECS 221st Meeting","ECS Transactions",,"Vol. 45","No. 3","pp. 437-442",2012, "Wei Li,’†“‡ˆκ—T,⅏t–G,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,•Π‰ͺD‘₯,Kenji Natori,takeo hattori,HIROSHI IWAI","Evaluation of Interfacial State Density of MOS Capacitor with Three-Dimensional Channel by Conductance Method","CSTIC 2012",,,,,,2012, "Ryuji Hosoi,Yuya Suzuki,DARYOUSH ZADEH,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,•Π‰ͺD‘₯,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Characterization of matal Schottky junction for In0.53Ga0.47AAs substrates","CSTIC 2012",,,,,,2012, "Kuniyuki KAKUSHIMA,Yuya Suzuki,ƒ_ƒŠƒ…[ƒVƒ…ƒUƒf,Takamasa Kawanago,HIROSHI IWAI","Development of Core Technologies for Green Nanoelectronics","International Symposium on gDevelopment of Core Technologies for Green Nanoelectronicsh",,,,,,2012, "‹gŒ΄—Ί,Yuta Tamura,Kuniyuki KAKUSHIMA,ƒp[ƒ‹ƒnƒbƒgƒAƒwƒƒg,Akira Nishiyama,Nobuyuki Sugii,KAZUO TSUTSUI,Kenji Natori,takeo hattori,HIROSHI IWAI","Electrical characterization of atomically flat NiSi2 Schottky diodeh, Ni silicidation for Si Fin and nanowire strucures","IEEE EDS MQ WIMNACT 32 C0-sponsored by EDS Japan Chapter and TIT",,,,,,2012,